0 votes
by (140 points)
What is watchdog timer in PLC?

1 Answer

0 votes
by (1.3k points)
As the name implies, a watchdog timer essentially ensures the embedded system does not perpetually remain in state of software or hardware fault. ... On the other hand, a standard hardware timer is typically employed to accomplish a myriad of other system tasks.Jun 16, 2015');})();(function(){window.jsl.dh('9','');})();(function(){window.jsl.dh('_Lh2hYIOVEI640PEPlvGQqAQ47','
');})();(function(){window.jsl.dh('11','
LPC2148 has an inbuilt watchdog timer. The watchdog when enabled generates a system reset if the user program fails to feed (or reload) the watchdog within a predetermined amount of time. The watchdog consists of a fixed divide by 4 prescalar and a 32-bit counter. The clock is fed to the timer through the prescalar.

Related questions

0 votes
1 answer
asked Jan 16, 2021 by watchdog (120 points)
0 votes
1 answer
asked Jan 15, 2021 by processes (120 points)
0 votes
1 answer
0 votes
1 answer
asked Oct 26, 2020 by timer (200 points)
0 votes
1 answer
asked Jul 25, 2021 by 12volt (580 points)
0 votes
1 answer
asked Jan 15, 2021 by watchdogd (120 points)
0 votes
1 answer
...